FREE SHIPPING ON ALL BUSHNELL PRODUCTS

EP1C6Q240C8N IC FPGA 185 I/O 240QFP

Fa'amatalaga Puupuu:

Mfr.Vaega: EP1C6Q240C8N
Tufuga: Intel
afifi: 240-BFQFP
Fa'amatalaga: fa'asologa Fa'atonu Fa'atonu Faitoto'a Fa'atonu (FPGA) IC 185 92160 5980 240-BFQFP

Pepa Fa'amatalaga: Fa'amolemole fa'afeso'ota'i mai matou.


Fa'amatalaga Oloa

Faailoga o oloa

Fua Fa'atatau

Fa'amatalaga

O masini a le Cyclone® o lo'o i ai se fausaga e lua-dimensional laina-ma-koluma e fa'atino ai manatu fa'apitoa.O feso'ota'iga koluma ma laina o saosaoa eseese e maua ai feso'ota'iga fa'ailo i le va o LAB ma poloka manatua fa'apipi'i.O le logic array e aofia ai LAB, ma 10 LE i LAB taitasi.Ole LE ole la'ititi la'ititi ole fa'atonuga e maua ai le fa'atinoga lelei o galuega fa'aoga fa'aoga.LAB e fa'avasegaina i laina ma koluma i luga o le masini.O masini afā e i le va o le 2,910 i le 20,060 LEs.O poloka M4K RAM o poloka e manatua ai lua-taulaga moni ma 4K bits of memory plus parity (4,608 bits).O poloka nei e tuʻuina atu ai le lua-taulaga moni, faigofie lua-taulaga, poʻo le tasi-taulaga manatua e oʻo atu i le 36-bits lautele e oʻo atu i le 250 MHz.O poloka nei e fa'avasegaina i koluma i luga o le masini i le va o nisi LAB.O masini afā e ofoina atu ile va ole 60 i le 288 Kbits ole RAM fa'apipi'i.O pine I/O masini I/O ta'itasi o lo'o fafaga e se elemene I/O (IOE) o lo'o i pito o laina ma koluma ole LAB ile pito pito ole masini.E lagolagoina e pine I/O tulaga eseese I/O e tasi-i'u ma eseese, e pei o le 66- ma le 33-MHz, 64- ma le 32-bit PCI ma le LVDS I/O tulaga e oo atu i le 640 Mbps.O IOE ta'itasi o lo'o iai se fa'apolopolo I/O ta'i lua ma tusi resitala e tolu mo le resitalaina o fa'ailoga e fa'aoga ai, galuega faatino, ma fa'ailo e mafai ona fa'atinoina.O pine DQS, DQ, ma le DM e lua-faʻatasi ma filifili faʻatuai (faʻaaogaina e faʻaoga faʻasologa o faailo DDR) e maua ai le fesoʻotaʻiga fesoʻotaʻiga ma masini manatua fafo e pei ole DDR SDRAM, ma masini FCRAM e oʻo atu i le 133 MHz (266 Mbps).O masini afā e maua ai feso'ota'iga uati i le lalolagi atoa ma e o'o atu i le lua PLL.Ole feso'ota'iga uati ole lalolagi e aofia ai laina uati e valu ole lalolagi e fa'asolo ile masini atoa.Ole feso'ota'iga uati ole lalolagi e mafai ona maua ai uati mo punaoa uma i totonu ole masini, pei ole IOE, LE, ma poloka manatua.E mafai fo'i ona fa'aoga laina uati o le lalolagi mo fa'ailoga fa'atonutonu.O le Afa o PLL e maua ai le fa'amoemoe lautele fa'atasi ma le fa'ateleina o le uati ma le suiga o vaega fa'apea fo'i galuega fa'atino i fafo mo le lagolago I/O ese'ese maualuga.

 

Fa'amatalaga:
Uiga Taua
Vaega Vaega Fa'atasi (ICs)
Fa'apipi'i - FPGAs (Fa'atonu Faitoto'a Fa'apolokalame Fa'afanua)
Mfr Intel
Fa'asologa Cyclone®
afifi fata
Tulaga Vaega Ua le toe aoga
Numera o LABs/CLBs 598
Numera o Elemene/Sele 5980
Aofa'i RAM Bits 92160
Numera o I/O 185
Malosi - Sapalai 1.425V ~ 1.575V
Ituaiga Fa'amau Mauga i luga
Galulue Vevela 0°C ~ 85°C (TJ)
Paketi / Pusa 240-BFQFP
Fa'atau Mea Fa'atau Mea 240-PQFP (32x32)
Numera Oloa Fa'avae EP1C6

 

EP1C6 1

 

 

EP1C6 2

 


  • Muamua:
  • Sosoo ai:

  • Tusi lau savali iinei ma lafo mai ia i matou