FREE SHIPPING ON ALL BUSHNELL PRODUCTS

LCMXO2-1200HC-4TG144I IC FPGA 107 I/O 144TQFP

Fa'amatalaga Puupuu:

Mfr.Vaega: LCMXO2-1200HC-4TG144I

Tufuga: Lattice Semiconductor Corporation
afifi: 144-LQFP
Fa'amatalaga: fa'asologa Fa'atonu Fa'atonu Faitoto'a Fa'atonu (FPGA) IC 107 65536 1280 144-LQFP

Pepa Fa'amatalaga: Fa'amolemole fa'afeso'ota'i mai matou.


Fa'amatalaga Oloa

Faailoga o oloa

Fua Fa'atatau

Fa'amatalaga

O le aiga MachXO2 o le malosi sili atu le maualalo, vave-i luga, PLDs e le faʻafefeteina e ono masini ma densities e amata mai i le 256 i le 6864 Look-Up Tables (LUTs).I le faʻaopoopoga i le LUT-faʻavae, taugofie le faʻaogaina o faʻatonuga o nei masini o loʻo faʻaalia ai le Embedded Block RAM (EBR), Distributed RAM, User Flash Memory (UFM), Phase Locked Loops (PLLs), preengineered source synchronous I/O support, lagolago faʻaopoopo faʻaopoopo. e aofia ai le ta'ilua-fa'afa'ailoga agava'a ma fa'ama'a'a lomiga o galuega masani fa'aoga e pei o le SPI fa'atonu, I2 C fa'atonu ma le taimi/counter.O nei foliga e mafai ai ona faʻaogaina nei masini i tau maualalo, maualuga le aofaʻi o tagata faʻatau ma polokalama faʻaoga.O masini MachXO2 ua mamanuina i luga o le 65 nm non-volatile low power process.O le fausaga o masini e iai le tele o vaega e pei ole I/Os e mafai ona fa'apolokalameina ma le mafai ona tape I/O faletupe, PLLs ma oscillators ma le malosi.O nei vaega e fesoasoani i le puleaina o le malosi ma le malosi o le faʻaaogaina o le eletise e mafua ai le maualalo o le eletise mo tagata uma o le aiga.O masini MachXO2 o loʻo maua i ni faʻaaliga se lua - ultra low power (ZE) ma le maualuga (HC ma HE) masini.O masini eletise sili ona maualalo e ofoina atu i le tolu saosaoa togi -1, -2 ma -3, faatasi ai ma le -3 e sili ona vave.E fa'apena fo'i, o lo'o tu'uina atu masini fa'atino maualuga i ni togi saosaoa e tolu: -4, -5 ma le -6, fa'atasi ai ma le -6 e sili ona vave.O masini HC o lo'o i ai se fa'atonuga eletise laina i totonu lea e lagolagoina ai le VCC sapalai voltage o le 3.3 V po'o le 2.5 V. ZE ma HE masini e talia na'o le 1.2 V e pei o le VCC sapalai i fafo.Se'i vagana ai le eletise tu'u eletise ituaiga uma e tolu o masini (ZE, HC ma HE) e fetaui lelei ma pine e fetaui le tasi ma le isi.O le MachXO2 PLDs o lo'o maua i le tele o fa'asalalauga fa'apitoa e leai se mea halogen e amata mai i le avanoa fa'asao 2.5 mm x 2.5 mm WLCSP i le 23 mm x 23 mm fpBGA.O masini MachXO2 e lagolagoina le tele o femalagaiga i totonu o le pusa tutusa.Laulau 1-1 o loʻo faʻaalia ai le LUT densities, afifi ma I / O filifiliga, faʻatasi ai ma isi mea taua.O le faʻainisinia faʻainisinia faʻaupuga faʻaoga faʻaogaina i le aiga masini MachXO2 e lagolagoina le lautele o tulaga faʻaoga, e aofia ai le LPDDR, DDR, DDR2 ma le 7: 1 faʻaogaina mo faʻaaliga I / Os.

 

Fa'amatalaga:
Uiga Taua
Vaega Vaega Fa'atasi (ICs)
Fa'apipi'i - FPGAs (Fa'atonu Faitoto'a Fa'apolokalame Fa'afanua)
Mfr Lattice Semiconductor Corporation
Fa'asologa MachXO2
afifi fata
Tulaga Vaega Malosi
Numera o LABs/CLBs 160
Numera o Elemene/Sele 1280
Aofa'i RAM Bits 65536
Numera o I/O 107
Malosi - Sapalai 2.375V ~ 3.465V
Ituaiga Fa'amau Mauga i luga
Galulue Vevela -40°C ~ 100°C (TJ)
Paketi / Pusa 144-LQFP
Fa'atau Mea Fa'atau Mea 144-TQFP (20x20)
Numera Oloa Fa'avae LCMXO2-1200

LATIKA 1

 

LATIKA 2

 

 

 


  • Muamua:
  • Sosoo ai:

  • Tusi lau savali iinei ma lafo mai ia i matou